1st Edition

Semiconductor Industry Wafer Fab Exhaust Management

By J. Michael Sherer Copyright 2010

    Given the myriad exhaust compounds and the corresponding problems that they can pose in an exhaust management system, the proper choice of such systems is a complex task. Presenting the fundamentals, technical details, and general solutions to real-world problems, Semiconductor Industry: Wafer Fab Exhaust Management offers practical guidance on selecting an appropriate system for a given application.

    Using examples that provide a clear understanding of the concepts discussed, Sherer covers facility layout, support facilities operations, and semiconductor process equipment, followed by exhaust types and challenges. He reviews exhaust point-of-use devices and exhaust line requirements needed between process equipment and the centralized exhaust system. The book includes information on wet scrubbers for a centralized acid exhaust system and a centralized ammonia exhaust system and on centralized equipment to control volatile organic compounds. It concludes with a chapter devoted to emergency releases and a separate chapter of examples illustrating these systems in use.

    Drawing on the author's 20 years of industry experience, the book shows you how to customize strategies specific to your needs, solve current problems, and prevent future issues in your exhaust management systems.

    SEMICONDUCTOR AND WAFER MANUFACTURING FACILITIES
    Wafer Fab Layout
    Facility-Support Operations
    Semiconductor Process Equipment

    EXHAUST TYPES AND CHALLENGES
    Exhaust Types
    Exhaust Management Challenges

    POINT-OF-USE DEVICES AND EXHAUST-LINE REQUIREMENTS
    Point-Of-Use Device Information
    Surrounding Exhaust-Line Requirements
    Examples of Point-Of-Use Devices for Selected Processes
    Fab Examples of Point-Of-Use Devices
    Developing a Point-Of-Use Device and Surrounding Exhaust-Line Strategy
    "Development Opportunities" for Point-Of-Use Devices

    CENTRALIZED SCRUBBERS
    Introduction
    General Design and Operation
    General Operation Information
    Acid Scrubbers for Large Storage Tanks of Concentrated Acid Solution
    Centralized Abatement Systems for Higher Inlet Concentrations of Acid Compounds, Chlorine, and Acid Mists
    Centralized Scrubbing System for Oxides of Nitrogen
    Specifying a Centralized Wet Scrubber

    CENTRALIZED EQUIPMENT TO CONTROL VOLATILE ORGANIC COMPOUNDS
    Introduction
    Centralized Equipment Technologies to Control Volatile Organic Compounds
    Examples of Selection Criteria for Centralized Equipment to Control Volatile Organic Compounds
    Location of Fans
    Testing of Centralized Equipment to Control Volatile Organic Compounds
    Specification to Supplier of Centralized Equipment to Control Volatile Organic Compounds

    EMERGENCY RELEASES
    Introduction
    Controlling Releases from Gas Cylinders or Other Vessels
    Silane Emergency Release
    Reduction of Emergency Release of Concentrations of Stack Discharge

    EXHAUST MANAGEMENT AND AIR ABATEMENT EQUIPMENT EXAMPLES
    List of Examples
    Example No. 7-1
    Example No. 7-2
    Example No. 7-3
    Example No. 7-4
    Example No. 7-5

    List of Acronyms

    Biography

    J. Michael Sherer